Математические модели и методы проектирования архитектуры сверхбольших интегральных схем — различия между версиями

Материал из Кафедра математической кибернетики
Перейти к: навигация, поиск
м
Строка 14: Строка 14:
 
''Программа будет обновляться по мере чтения курса''
 
''Программа будет обновляться по мере чтения курса''
  
# Конвейер:
+
# Однотактовый процессор и архитектура.
#* пять классических стадий выполнения команд (выборка, декодирование, исполнение, доступ к памяти, запись в регистр),
+
#* Что такое процессор и что такое его архитектура.
#* латентность и производительность,
+
#* Архитектура системы команд (instruction set architecture) и микроархитектура (microarchitecture).
#* конфликты (структурные, по данным, по управлению),
+
#* Примеры архитектур систем команд, устройство команд MIPS.
#* пересылка значений,
+
#* Основные элементы процессора: счётчик команд (program counter, PC), память команд (instruction memory, IM), блок регистров (регистровый файл, register file, RF), арифметико-логическое устройство (АЛУ, arithmetic logic unit, ALU), память данных (data memory, DM).
#* спекулятивное исполнение.
+
#* Операционный и управляющий автоматы однотактового процессора.
 +
# Конвейер (conveyor).
 +
#* "Классические" стадии выполнения команд (ступени конвейера): выборка (считывание) команды (instruction fetch, IF); декодирование команды (instruction decode, ID); считывание операндов (operand read, OR); выполнение (execution, EX); доступ к памяти (memory access, MEM); запись в регистр (writeback, WB).
 +
#* Латентность (latency) и производительность (throughput).
 +
#* Конфликты (hazards): структурные, по данным, по управлению.
 +
#* Способы разрешения конфликтов.
 +
#* Виды конфликтов по данным: чтение после записи (read-after-write, RAW), запись после чтения (WAR), запись после записи (WAW).
 +
#* Прямая подача результата (пересылка значений; продвижение данных; bypass).
 +
#* Спекулятивное исполнение команд (исполнение по предположению; speculation).
 +
#* Конвейер в операционном автомате процессора.
 
# Общая организация памяти:
 
# Общая организация памяти:
#* современное устройство ячеек памяти,
+
#* Современное устройство ячеек памяти.
#* современная организация доступа к ячейкам памяти,
+
#* Современная организация доступа к ячейкам памяти.
#* область применения различных видов памяти,
+
#* Область применения различных видов памяти.
#* характеристики производительности памяти: латентность, частота доступа, пропускная способность, время доступа, время передачи, время цикла.
+
#* Характеристики производительности памяти: латентность, частота доступа, пропускная способность, время доступа, время передачи, время цикла.
# Кэш-память:
+
# Кэш-память (cache).
#* пространственная и временная локальность доступа к памяти,
+
#* Пространственная и временная локальность доступа к памяти (temporal locality, spatial locality).
#* основные понятия: строка, тэг, попадание, промах, конфликты и вытеснение,  
+
#* Основные понятия: строка (block, line), тэг (tag), попадание (hit), промах (miss), конфликты (conflicts), вытеснение (замещение, eviction).
#* производительность кэша: частоты и задержки, связанные с попаданиями и промахами кэша,
+
#* Производительность кэша: частоты и задержки, связанные с попаданиями и промахами кэша.
#* виды кэша: прямого отображения, полностью ассоциативный, полуассоциативный,
+
#* Виды кэша: прямого отображения (direct-mapped), полностью ассоциативный (associative, fully-associative), полуассоциативный (множественно-ассоциативный, set-associative).
#* классификация промахов кэша (''Three Cs''),
+
#* Классификация промахов кэша (three Cs).
#* политика вытеснения и политика записи,
+
#* Политика вытеснения.
#* иерархия кэш-памяти, эксклюзивный и инклюзивный кэш,
+
#* Политика записи (eviction policy, write policy). Сквозная запись (writethrough) и отложенная запись (обратная запись, writeback).
#* оптимизация доступа к кэш-памяти: конвейеризация, кэш вытеснения (''victim cache''), буфер записи, предвыборка, многопортовый кэш, банки кэш-памяти, неблокирующий кэш.
+
#* Иерархия кэш-памяти, эксклюзивный (exclusive) и инклюзивный (inclusive) кэш.
# Виртуальная память:
+
#* Оптимизация доступа к кэш-памяти: конвейеризация, кэш вытеснения (victim cache), буфер записи (write buffer), предвыборка (prefetching), многопортовый (multiport) кэш, банки (banks) кэш-памяти, неблокирующий (nonblocking) кэш.
#* физическая (абсолютная) и виртуальная адресация,
+
#* Кэш-память в операционном автомате процессора с конвейером.
#* трансляция адресов, страницы памяти, таблицы страниц, каскады таблиц,
+
# Виртуальная память (virtual memory).
#* механизмы защиты доступа к памяти,
+
#* Физическая (абсолютная) и логическая (виртуальная) адресация.
#* буфер ассоциативной трансляции,
+
#* Сегменты и страницы.
#* виртуальная и физическая кэш-память, проблема синонимичности (aliasing),
+
#* Таблицы страниц (таблицы страничного преобразования, page tables), каскады таблиц.
#* хэшированные таблицы страниц.
+
#* Буфер ассоциативной трансляции (translation lookaside buffer, TLB).
# Прерывания:
+
#* Виртуальная и физическая кэш-память, проблема синонимичности (aliasing).
#* общее понятие прерывания, точное прерывание,
+
#* Таблицы страниц и буфер ассоциативной трансляции в операционном автомате процессора.
#* прерывания, исключения и ловушки,
+
# Прерывания (interrupts).
#* обработчик прерываний, механизмы обработки прерываний,
+
#* Общее понятие прерывания, точное (precise) прерывание.
#* классификация точных прерываний: синхронные и асинхронные, вызываемые и вынужденные, маскируемые и немаскируемые, возобновляемые и терминальные,  
+
#* Тонкости терминологии: прерывания, исключения (exceptions), ловушки (traps) и т.п.
#* влияние прерываний на устройство других архитектурных концепций.
+
#* Классификация точных прерываний: синхронные и асинхронные (synchronous, asynchronous), вызываемые и вынужденные (user-requested, coerced), маскируемые и немаскируемые (maskable, nonmaskable) и т.п.
# Ввод-вывод:
+
#* Обработчик прерываний (interrupt handler).
#* виды шин ввода-вывода,
+
#* Регистр прерываний (cause register).
#* способы организации ввода-вывода (включение в систему команд, ввод-вывод через память),
+
#* Точка коммита (точка фиксации, commit point).
#* управляющий регистр и регистр данных ввода-вывода,
+
#* Влияние прерываний на устройство других архитектурных элементов.
#* механизмы ожидания готовности ввода-вывода: поллинг, прерывания.
+
#* Реализация прерываний в операционном автомате процессора.
# Внеочередное исполнение команд:
+
# Ввод-вывод.
#* параллелизм на уровне команд и сравнение производительности процессора с внеочередным и очередным исполнениями команд,
+
#* Виды шин ввода-вывода.
#* конфликты конвейера при введении внеочередного исполнения,
+
#* Ввод-вывод в системе команд и через память (memory-mapped).
#* табличный алгоритм: стадии конвейера, буфер команд, конфликты и их разрешение, производительность,
+
#* Управляющий регистр (control register) и регистр данных (data register) ввода-вывода.
#* алгоритм Томасуло: стадии конвейера, буфер команд, станции резервирования, конфликты и их разрешение, производительность.
+
#* Механизмы ожидания готовности ввода-вывода: поллинг (polling), обмен по прерыванию.
 +
# Внеочередное исполнение команд (out-of-order execution).
 +
#* Параллелизм на уровне команд (instruction-level parallelism, ILP) и сравнение производительности процессора с очередным (in-order) и внеочередным исполнениями команд.
 +
#* Конфликты конвейера при введении внеочередного исполнения.
 +
#* Табличный алгоритм (scoreboarding): стадии конвейера, буфер команд (instruction buffer), конфликты и их разрешение, производительность.
 +
#* Алгоритм Томасуло (Tomasulo algorithm): стадии конвейера, буфер команд, станции резервирования, конфликты и их разрешение, производительность.
 +
#* Внеочередная выбора с учётом прерываний: уточнение стадий конвейера, буфер переупорядочивания (reorder buffer, ROB), очередь чтения-записи (load-store queue, LSQ).

Версия 11:40, 25 февраля 2022


Обязательный курс для студентов группы 518/2.

Руководитель: Подымов В.В.

Актуальность информации: весенний семестр 2021/2022 учебного года.

Для доступа к материалам курса (слайдам лекций, тексту практических заданий, критериям оценки, ...) обратитесь к Подымову В.В..

Рабочая программа для устного опроса

Программа будет обновляться по мере чтения курса

  1. Однотактовый процессор и архитектура.
    • Что такое процессор и что такое его архитектура.
    • Архитектура системы команд (instruction set architecture) и микроархитектура (microarchitecture).
    • Примеры архитектур систем команд, устройство команд MIPS.
    • Основные элементы процессора: счётчик команд (program counter, PC), память команд (instruction memory, IM), блок регистров (регистровый файл, register file, RF), арифметико-логическое устройство (АЛУ, arithmetic logic unit, ALU), память данных (data memory, DM).
    • Операционный и управляющий автоматы однотактового процессора.
  2. Конвейер (conveyor).
    • "Классические" стадии выполнения команд (ступени конвейера): выборка (считывание) команды (instruction fetch, IF); декодирование команды (instruction decode, ID); считывание операндов (operand read, OR); выполнение (execution, EX); доступ к памяти (memory access, MEM); запись в регистр (writeback, WB).
    • Латентность (latency) и производительность (throughput).
    • Конфликты (hazards): структурные, по данным, по управлению.
    • Способы разрешения конфликтов.
    • Виды конфликтов по данным: чтение после записи (read-after-write, RAW), запись после чтения (WAR), запись после записи (WAW).
    • Прямая подача результата (пересылка значений; продвижение данных; bypass).
    • Спекулятивное исполнение команд (исполнение по предположению; speculation).
    • Конвейер в операционном автомате процессора.
  3. Общая организация памяти:
    • Современное устройство ячеек памяти.
    • Современная организация доступа к ячейкам памяти.
    • Область применения различных видов памяти.
    • Характеристики производительности памяти: латентность, частота доступа, пропускная способность, время доступа, время передачи, время цикла.
  4. Кэш-память (cache).
    • Пространственная и временная локальность доступа к памяти (temporal locality, spatial locality).
    • Основные понятия: строка (block, line), тэг (tag), попадание (hit), промах (miss), конфликты (conflicts), вытеснение (замещение, eviction).
    • Производительность кэша: частоты и задержки, связанные с попаданиями и промахами кэша.
    • Виды кэша: прямого отображения (direct-mapped), полностью ассоциативный (associative, fully-associative), полуассоциативный (множественно-ассоциативный, set-associative).
    • Классификация промахов кэша (three Cs).
    • Политика вытеснения.
    • Политика записи (eviction policy, write policy). Сквозная запись (writethrough) и отложенная запись (обратная запись, writeback).
    • Иерархия кэш-памяти, эксклюзивный (exclusive) и инклюзивный (inclusive) кэш.
    • Оптимизация доступа к кэш-памяти: конвейеризация, кэш вытеснения (victim cache), буфер записи (write buffer), предвыборка (prefetching), многопортовый (multiport) кэш, банки (banks) кэш-памяти, неблокирующий (nonblocking) кэш.
    • Кэш-память в операционном автомате процессора с конвейером.
  5. Виртуальная память (virtual memory).
    • Физическая (абсолютная) и логическая (виртуальная) адресация.
    • Сегменты и страницы.
    • Таблицы страниц (таблицы страничного преобразования, page tables), каскады таблиц.
    • Буфер ассоциативной трансляции (translation lookaside buffer, TLB).
    • Виртуальная и физическая кэш-память, проблема синонимичности (aliasing).
    • Таблицы страниц и буфер ассоциативной трансляции в операционном автомате процессора.
  6. Прерывания (interrupts).
    • Общее понятие прерывания, точное (precise) прерывание.
    • Тонкости терминологии: прерывания, исключения (exceptions), ловушки (traps) и т.п.
    • Классификация точных прерываний: синхронные и асинхронные (synchronous, asynchronous), вызываемые и вынужденные (user-requested, coerced), маскируемые и немаскируемые (maskable, nonmaskable) и т.п.
    • Обработчик прерываний (interrupt handler).
    • Регистр прерываний (cause register).
    • Точка коммита (точка фиксации, commit point).
    • Влияние прерываний на устройство других архитектурных элементов.
    • Реализация прерываний в операционном автомате процессора.
  7. Ввод-вывод.
    • Виды шин ввода-вывода.
    • Ввод-вывод в системе команд и через память (memory-mapped).
    • Управляющий регистр (control register) и регистр данных (data register) ввода-вывода.
    • Механизмы ожидания готовности ввода-вывода: поллинг (polling), обмен по прерыванию.
  8. Внеочередное исполнение команд (out-of-order execution).
    • Параллелизм на уровне команд (instruction-level parallelism, ILP) и сравнение производительности процессора с очередным (in-order) и внеочередным исполнениями команд.
    • Конфликты конвейера при введении внеочередного исполнения.
    • Табличный алгоритм (scoreboarding): стадии конвейера, буфер команд (instruction buffer), конфликты и их разрешение, производительность.
    • Алгоритм Томасуло (Tomasulo algorithm): стадии конвейера, буфер команд, станции резервирования, конфликты и их разрешение, производительность.
    • Внеочередная выбора с учётом прерываний: уточнение стадий конвейера, буфер переупорядочивания (reorder buffer, ROB), очередь чтения-записи (load-store queue, LSQ).