// Generated by Cadence Encounter(R) RTL Compiler RC11.22 - v11.20-s017_1 // Verification Directory fv/top module top(a, b, out); input [127:0] a; input [6:0] b; output [2:0] out; wire [127:0] a; wire [6:0] b; wire [2:0] out; wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7; wire n_8, n_9, n_10, n_11, n_12, n_13, n_14, n_15; wire n_16, n_17, n_18, n_19, n_20, n_21, n_22, n_23; wire n_24, n_25, n_26, n_27, n_28, n_29, n_30, n_31; wire n_32, n_33, n_34, n_35, n_36, n_37, n_38, n_39; wire n_40, n_41, n_42, n_43, n_44, n_45, n_46, n_47; wire n_48, n_49, n_50, n_51, n_52, n_53, n_54, n_55; wire n_56, n_57, n_58, n_59, n_60, n_61, n_62, n_63; wire n_64, n_65, n_66, n_67, n_68, n_69, n_70, n_71; wire n_72, n_73, n_74, n_75, n_76, n_77, n_78, n_79; wire n_80, n_81, n_82, n_83, n_84, n_85, n_86, n_87; wire n_88, n_89, n_90, n_91, n_92, n_93, n_94, n_95; wire n_96, n_97, n_98, n_99, n_100, n_101, n_102, n_103; wire n_104, n_105, n_106, n_107, n_108, n_109, n_110, n_111; wire n_112, n_113, n_114, n_115, n_116, n_117, n_118, n_119; wire n_120, n_121, n_122, n_123, n_124, n_125, n_126, n_127; wire n_128, n_129, n_130, n_131, n_132, n_133, n_134, n_135; wire n_136, n_137, n_138, n_139, n_140, n_141, n_142, n_143; wire n_144, n_145, n_146, n_147, n_148, n_149, n_150, n_151; wire n_152, n_153, n_154, n_155, n_156, n_157, n_158, n_159; wire n_160, n_161, n_162, n_163, n_164, n_165, n_166, n_167; wire n_168, n_169, n_170, n_171, n_172, n_173, n_174, n_175; wire n_176, n_177, n_178, n_179, n_180, n_181, n_182, n_183; wire n_184, n_185, n_186, n_187, n_188, n_189, n_190, n_191; wire n_192, n_193, n_194, n_195, n_196, n_197, n_198, n_199; wire n_200, n_201, n_202, n_203, n_204, n_205, n_206, n_207; wire n_208, n_209, n_210, n_211, n_212, n_213, n_214, n_215; wire n_216, n_217, n_218, n_219, n_220, n_221, n_222, n_223; wire n_224, n_225, n_226, n_227, n_228, n_229, n_230, n_231; wire n_232, n_233, n_234, n_235, n_236, n_237, n_238, n_239; wire n_240, n_241, n_242, n_243, n_244, n_245, n_246, n_247; wire n_248, n_249, n_250, n_251, n_252, n_253, n_254, n_255; wire n_256, n_257, n_258, n_259, n_260, n_261, n_262, n_263; wire n_264, n_265, n_266, n_267, n_268, n_269, n_270, n_271; wire n_272, n_273, n_274, n_275, n_276, n_277, n_278, n_279; wire n_280, n_281, n_282, n_283, n_284, n_285, n_286, n_287; wire n_288, n_289; wire w, w0, w1, w2, w3, w4; nand g3072 (out[0], n_288, n_289); nand g3075 (out[2], n_283, n_286); nand g3076 (out[1], n_285, n_287); or g3073 (n_289, b[6], n_280); nand g3074 (n_288, n_281, b[6]); nand g3079 (n_287, n_279, n_284); nand g3077 (n_286, n_278, n_282); or g3080 (n_285, n_284, n_276); or g3078 (n_283, n_282, n_277); nand g3081 (n_281, n_237, n_265, n_267); nor g3082 (n_280, n_225, n_266, n_264); nand g3083 (n_279, n_273, n_274); nand g3084 (n_278, n_263, n_275); nor g3085 (n_277, n_262, n_271); nor g3086 (n_276, n_268, n_269); or g3093 (n_275, n_270, n_254); nand g3094 (n_274, n_251, n_272); or g3095 (n_273, n_272, n_250); nor g3096 (n_271, n_270, n_260); and g3097 (n_269, n_272, n_261); nor g3098 (n_268, n_272, n_259); or g3087 (n_267, b[5], n_255); nor g3088 (n_266, b[5], n_256); nand g3089 (n_265, n_258, b[5]); nor g3090 (n_264, n_11, n_257); nand g3091 (n_263, n_253, n_270); and g3092 (n_262, n_270, n_252); or g3106 (n_261, n_249, n_181, n_222, n_248); nor g3107 (n_260, n_213, n_244, n_212, n_221); nor g3108 (n_259, n_247, n_215, n_201, n_185); or g3109 (n_258, n_239, n_238, n_243, n_242); nor g3110 (n_257, n_227, n_229, n_183, n_232); nor g3099 (n_256, n_220, n_219, n_218); nor g3100 (n_255, n_235, n_236, n_234); nor g3101 (n_254, n_207, n_194, n_209, n_245); or g3102 (n_253, n_211, n_217, n_203, n_196); or g3103 (n_252, n_205, n_204, n_197, n_199); or g3104 (n_251, n_191, n_190, n_188, n_189); nor g3105 (n_250, n_192, n_184, n_187, n_186); nor g3142 (n_249, n_240, n_226); nor g3143 (n_248, n_246, n_231); nor g3144 (n_247, n_246, n_224); nor g3145 (n_245, n_246, n_241); nor g3146 (n_244, n_246, n_228); nor g3147 (n_243, n_230, n_208); nor g3148 (n_242, n_240, n_241); nor g3149 (n_239, n_246, n_193); nor g3150 (n_238, n_233, n_206); or g3151 (n_237, n_223, n_210); nor g3152 (n_236, n_246, n_195); nor g3153 (n_235, n_240, n_202); nor g3154 (n_234, n_233, n_216); nor g3155 (n_232, n_230, n_231); nor g3156 (n_229, n_240, n_228); nor g3157 (n_227, n_233, n_226); nor g3158 (n_225, n_223, n_224); nor g3111 (n_222, n_233, n_228); nor g3112 (n_221, n_240, n_231); nor g3113 (n_220, n_233, n_214); nor g3114 (n_219, n_246, n_200); nor g3115 (n_218, n_240, n_198); nor g3116 (n_217, n_230, n_216); nor g3117 (n_215, n_240, n_214); nor g3118 (n_213, n_230, n_226); nor g3119 (n_212, n_233, n_182); nor g3120 (n_211, n_240, n_210); nor g3121 (n_209, n_240, n_208); nor g3122 (n_207, n_230, n_206); nor g3123 (n_205, n_230, n_214); nor g3124 (n_204, n_240, n_224); nor g3125 (n_203, n_246, n_202); nor g3126 (n_201, n_230, n_200); nor g3127 (n_199, n_246, n_198); nor g3128 (n_197, n_233, n_200); nor g3129 (n_196, n_233, n_195); nor g3130 (n_194, n_233, n_193); nor g3131 (n_192, n_246, n_210); nor g3132 (n_191, n_230, n_193); nor g3133 (n_190, n_240, n_206); nor g3134 (n_189, n_233, n_241); nor g3135 (n_188, n_246, n_208); nor g3136 (n_187, n_230, n_195); nor g3137 (n_186, n_240, n_216); nor g3138 (n_185, n_233, n_198); nor g3139 (n_184, n_233, n_202); nor g3140 (n_183, n_246, n_182); nor g3141 (n_181, n_230, n_182); nor g3159 (n_231, n_170, n_171); nor g3160 (n_216, n_166, n_169); nor g3161 (n_226, n_167, n_165); nor g3162 (n_182, n_164, n_163); nor g3163 (n_210, n_162, n_160); nor g3164 (n_214, n_161, n_158); nor g3165 (n_206, n_159, n_152); nor g3166 (n_224, n_156, n_153); nor g3167 (n_208, n_157, n_155); nor g3168 (n_202, n_154, n_149); nor g3169 (n_198, n_151, n_150); nor g3170 (n_200, n_180, n_178); nor g3171 (n_195, n_177, n_179); nor g3172 (n_193, n_176, n_173); nor g3173 (n_228, n_174, n_172); nor g3174 (n_241, n_168, n_175); nand g3198 (n_180, n_42, n_20, n_23, n_121); nand g3199 (n_179, n_87, n_17, n_18, n_118); nand g3200 (n_178, n_103, n_62, n_83, n_120); nand g3201 (n_177, n_116, n_60, n_40, n_85); nand g3202 (n_176, n_39, n_15, n_14, n_114); nand g3203 (n_175, n_106, n_76, n_37, n_143); nand g3204 (n_174, n_59, n_16, n_38, n_145); nand g3205 (n_173, n_112, n_75, n_110, n_117); nand g3206 (n_172, n_113, n_55, n_148, n_115); nand g3175 (n_171, n_108, n_35, n_36, n_147); nand g3176 (n_170, n_142, n_74, n_54, n_107); nand g3177 (n_169, n_105, n_32, n_33, n_141); nand g3178 (n_168, n_101, n_72, n_51, n_136); nand g3179 (n_167, n_52, n_30, n_31, n_140); nand g3180 (n_166, n_135, n_71, n_50, n_104); nand g3181 (n_165, n_93, n_70, n_102, n_137); nand g3182 (n_164, n_69, n_28, n_29, n_100); nand g3183 (n_163, n_98, n_49, n_133, n_134); nand g3184 (n_162, n_68, n_80, n_27, n_97); nand g3185 (n_161, n_48, n_24, n_13, n_132); nand g3186 (n_160, n_95, n_47, n_129, n_130); nand g3187 (n_159, n_45, n_79, n_57, n_126); nand g3188 (n_158, n_96, n_67, n_94, n_144); nand g3189 (n_157, n_119, n_65, n_41, n_92); nand g3190 (n_156, n_46, n_22, n_19, n_128); nand g3191 (n_155, n_99, n_34, n_25, n_138); nand g3192 (n_154, n_64, n_77, n_26, n_90); nand g3193 (n_153, n_84, n_66, n_91, n_131); nand g3194 (n_152, n_81, n_61, n_82, n_127); nand g3195 (n_151, n_63, n_21, n_58, n_89); nand g3196 (n_150, n_88, n_44, n_123, n_124); nand g3197 (n_149, n_86, n_43, n_122, n_125); nand g3207 (n_282, w, w0); nand g0 (w0, b[6], n_8); or g (w, b[6], n_8); nand g3224 (n_148, n_146, a[51]); nand g3225 (n_147, n_146, a[59]); nand g3322 (n_145, n_109, a[49]); nand g3226 (n_144, n_146, a[3]); nand g3227 (n_143, n_146, a[115]); nand g3228 (n_142, n_139, a[62]); nand g3229 (n_141, n_146, a[67]); nand g3230 (n_140, n_139, a[38]); nand g3231 (n_138, n_146, a[123]); nand g3232 (n_137, n_146, a[35]); nand g3233 (n_136, n_139, a[118]); nand g3234 (n_135, n_139, a[70]); nand g3235 (n_134, n_146, a[43]); nand g3236 (n_133, n_139, a[46]); nand g3237 (n_132, n_139, a[6]); nand g3238 (n_131, n_146, a[27]); nand g3239 (n_130, n_139, a[94]); nand g3240 (n_129, n_146, a[91]); nand g3241 (n_128, n_139, a[30]); nand g3242 (n_127, n_146, a[99]); nand g3243 (n_126, n_139, a[102]); nand g3244 (n_125, n_139, a[86]); nand g3245 (n_124, n_146, a[19]); nand g3246 (n_123, n_139, a[22]); nand g3247 (n_122, n_146, a[83]); nand g3248 (n_121, n_139, a[14]); nand g3249 (n_120, n_146, a[11]); nand g3250 (n_119, n_139, a[126]); nand g3251 (n_118, n_146, a[75]); nand g3252 (n_117, n_146, a[107]); nand g3253 (n_116, n_139, a[78]); nand g3254 (n_115, n_139, a[54]); nand g3255 (n_114, n_139, a[110]); nand g3273 (n_113, n_111, a[52]); nand g3274 (n_112, n_111, a[108]); nand g3276 (n_110, n_109, a[105]); nand g3281 (n_108, n_111, a[60]); nand g3282 (n_107, n_109, a[57]); nand g3286 (n_106, n_111, a[116]); nand g3287 (n_105, n_111, a[68]); nand g3289 (n_104, n_109, a[65]); nand g3328 (n_103, n_111, a[12]); nand g3291 (n_102, n_109, a[33]); nand g3294 (n_101, n_109, a[113]); nand g3295 (n_100, n_109, a[41]); nand g3296 (n_99, n_111, a[124]); nand g3297 (n_98, n_111, a[44]); nand g3302 (n_97, n_109, a[89]); nand g3305 (n_96, n_111, a[4]); nand g3306 (n_95, n_111, a[92]); nand g3307 (n_94, n_109, a[1]); nand g3290 (n_93, n_111, a[36]); nand g3309 (n_92, n_109, a[121]); nand g3312 (n_91, n_109, a[25]); nand g3314 (n_90, n_109, a[81]); nand g3316 (n_89, n_109, a[17]); nand g3317 (n_88, n_111, a[20]); nand g3323 (n_87, n_111, a[76]); nand g3324 (n_86, n_111, a[84]); nand g3326 (n_85, n_109, a[73]); nand g3327 (n_84, n_111, a[28]); nand g3329 (n_83, n_109, a[9]); nand g3332 (n_82, n_109, a[97]); nand g3335 (n_81, n_111, a[100]); and g3336 (n_270, n_4, n_223); nand g3301 (n_80, n_78, a[93]); nand g3310 (n_79, n_78, a[101]); nand g3313 (n_77, n_78, a[85]); nand g3277 (n_76, n_78, a[117]); nand g3208 (n_75, n_73, a[106]); nand g3209 (n_74, n_73, a[58]); nand g3210 (n_72, n_73, a[114]); nand g3211 (n_71, n_73, a[66]); nand g3212 (n_70, n_73, a[34]); nand g3213 (n_69, n_73, a[42]); nand g3214 (n_68, n_73, a[90]); nand g3215 (n_67, n_73, a[2]); nand g3216 (n_66, n_73, a[26]); nand g3217 (n_65, n_73, a[122]); nand g3218 (n_64, n_73, a[82]); nand g3219 (n_63, n_73, a[18]); nand g3220 (n_62, n_73, a[10]); nand g3221 (n_61, n_73, a[98]); nand g3222 (n_60, n_73, a[74]); nand g3223 (n_59, n_73, a[50]); nand g3325 (n_58, n_56, a[23]); nand g3308 (n_57, n_56, a[103]); nand g3256 (n_55, n_53, a[48]); nand g3257 (n_54, n_53, a[56]); nand g3258 (n_52, n_53, a[32]); nand g3259 (n_51, n_53, a[112]); nand g3260 (n_50, n_53, a[64]); nand g3261 (n_49, n_53, a[40]); nand g3262 (n_48, n_53, a[0]); nand g3263 (n_47, n_53, a[88]); nand g3264 (n_46, n_53, a[24]); nand g3265 (n_45, n_53, a[96]); nand g3266 (n_44, n_53, a[16]); nand g3267 (n_43, n_53, a[80]); nand g3268 (n_42, n_53, a[8]); nand g3269 (n_41, n_53, a[120]); nand g3270 (n_40, n_53, a[72]); nand g3271 (n_39, n_53, a[104]); nand g3272 (n_38, n_56, a[55]); nand g3275 (n_37, n_56, a[119]); nand g3278 (n_36, n_56, a[63]); nand g3279 (n_35, n_78, a[61]); nand g3280 (n_34, n_78, a[125]); nand g3283 (n_33, n_56, a[71]); nand g3284 (n_32, n_78, a[69]); nand g3285 (n_31, n_56, a[39]); nand g3288 (n_30, n_78, a[37]); nand g3292 (n_29, n_56, a[47]); nand g3293 (n_28, n_78, a[45]); nand g3298 (n_27, n_56, a[95]); nand g3299 (n_26, n_56, a[87]); nand g3300 (n_25, n_56, a[127]); nand g3304 (n_24, n_78, a[5]); nand g3333 (n_23, n_56, a[15]); nand g3311 (n_22, n_78, a[29]); nand g3315 (n_21, n_78, a[21]); nand g3318 (n_20, n_78, a[13]); nand g3319 (n_19, n_56, a[31]); nand g3320 (n_18, n_56, a[79]); nand g3321 (n_17, n_78, a[77]); nand g3330 (n_16, n_78, a[53]); nand g3331 (n_15, n_78, a[109]); nand g3334 (n_14, n_56, a[111]); nand g3303 (n_13, n_56, a[7]); nand g3337 (n_284, w1, w2); nand g2 (w2, n_7, b[6]); or g1 (w1, n_7, b[6]); nor g3347 (n_109, b[1], n_12); nor g3348 (n_146, n_10, n_12); nand g3343 (n_223, n_3, n_11); nor g3345 (n_139, n_10, n_9); nor g3346 (n_111, b[1], n_9); nand g3349 (n_272, w3, w4); nand g4 (w4, n_11, b[4]); or g3 (w3, n_11, b[4]); nor g3341 (n_56, n_10, n_5); or g3339 (n_8, n_2, n_7); and g3340 (n_73, b[1], n_6); and g3342 (n_53, n_10, n_6); nor g3338 (n_78, b[1], n_5); nand g3344 (n_4, n_230, b[5]); not g3356 (n_3, n_230); nand g3358 (n_233, n_1, n_2); nand g3352 (n_246, n_1, b[3]); nand g3350 (n_9, n_0, b[2]); or g3351 (n_12, b[2], n_0); nand g3355 (n_240, n_2, b[4]); nand g3359 (n_5, b[0], b[2]); nand g3357 (n_230, b[3], b[4]); nor g3354 (n_6, b[2], b[0]); nand g3353 (n_7, b[4], b[5]); not g3363 (n_10, b[1]); not g3360 (n_0, b[0]); not g3362 (n_1, b[4]); not g3364 (n_2, b[3]); not g3361 (n_11, b[5]); endmodule